Follow
Saketh Rama
Title
Cited by
Cited by
Year
Minerva: Enabling low-power, highly-accurate deep neural network accelerators
B Reagen, P Whatmough, R Adolf, S Rama, H Lee, SK Lee, ...
ACM SIGARCH Computer Architecture News 44 (3), 267-278, 2016
7232016
14.3 A 28nm SoC with a 1.2 GHz 568nJ/prediction sparse deep-neural-network engine with> 0.1 timing error rate tolerance for IoT applications
PN Whatmough, SK Lee, H Lee, S Rama, D Brooks, GY Wei
2017 IEEE International Solid-State Circuits Conference (ISSCC), 242-243, 2017
2042017
Fathom: Reference workloads for modern deep learning methods
R Adolf, S Rama, B Reagen, GY Wei, D Brooks
2016 IEEE International Symposium on Workload Characterization (IISWC), 1-10, 2016
1952016
Cognitive computing safety: the new horizon for reliability/the design and evolution of deep learning workloads
Y Zhu, VJ Reddi, R Adolf, S Rama, B Reagen, GY Wei, D Brooks
IEEE Micro 37 (01), 15-21, 2017
162017
Early dse and automatic generation of coarse-grained merged accelerators
I Brumar, G Zacharopoulos, Y Yao, S Rama, D Brooks, GY Wei
ACM Transactions on Embedded Computing Systems 22 (2), 1-29, 2023
102023
Application of approximate matrix multiplication to neural networks and distributed SLAM
B Plancher, CD Brumar, I Brumar, L Pentecost, S Rama, D Brooks
2019 IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2019
72019
Methods of communication avoidance in parallel solutions of partial differential equations
LS White, G Dasika, SV Rama
US Patent App. 17/561,227, 2023
2023
Machine learning based stabilizer for numerical methods
SV Rama, G Dasika, LS White
US Patent App. 17/550,882, 2023
2023
The Design and Evolution of Deep Learning Workloads
R Adolf, S Rama, B Reagen, GY Wei, D Brooks
IEEE MICRO 37 (1), 18-21, 2017
2017
Using neural networks to reduce communication in numerical solution of partial differential equations
L White, G Dasika, S Rama
2 Counting Distinct Elements in a Stream
JN Scribe, S Rama
2 Load Balancing
JN Scribe, S Rama
ISSCC 2017/SESSION 14/DEEP-LEARNING PROCESSORS/14.3
PN Whatmough, SK Lee, H Lee, S Rama, D Brooks, GY Wei
The system can't perform the operation now. Try again later.
Articles 1–13