Follow
Asma Beevi K T
Asma Beevi K T
Intel Labs
Verified email at intel.com
Title
Cited by
Cited by
Year
A Fully Integrated Cryo-CMOS SoC for Qubit Control in Quantum Computers Capable of State Manipulation, Readout and High-Speed Gate Pulsing of Spin Qubits in Intel 22nm FFL …
JS Park, S Subramanian, L Lampert, T Mladenov, I Klotchkov, D Kurian, ...
ISSCC, 208-210, 2021
442021
An 802.11 ba-based wake-up radio receiver with Wi-Fi transceiver integration
R Liu, AB KT, R Dorrance, D Dasalukunte, V Kristem, MAS Lopez, AW Min, ...
IEEE Journal of Solid-State Circuits 55 (5), 1151-1164, 2019
332019
A fully integrated cryo-CMOS SoC for state manipulation, readout, and high-speed gate pulsing of spin qubits
J Park, S Subramanian, L Lampert, T Mladenov, I Klotchkov, DJ Kurian, ...
IEEE Journal of Solid-State Circuits 56 (11), 3289-3306, 2021
312021
13.1 a fully integrated cryo-cmos soc for qubit control in quantum computers capable of state manipulation, readout and high-speed gate pulsing of spin qubits in intel 22nm ffl …
JS Park, S Subramanian, L Lampert, T Mladenov, I Klotchkov, DJ Kurian, ...
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 208-210, 2021
182021
An 802.11 ba 495μW-92.6 dBm-Sensitivity Blocker-Tolerant Wake-up Radio Receiver Fully Integrated with Wi-Fi Transceiver
R Liu, AB KT, R Dorrance, D Dasalukunte, MAS Lopez, V Kristem, S Azizi, ...
2019 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 255-258, 2019
122019
An ultra-low power, fully integrated wake-up receiver and digital baseband with all-digital impairment correction and-92.4 dBm sensitivity for 802.11 ba
R Dorrance, R Liu, KTA Beevi, D Dasalukunte, MAS Lopez, V Kristem, ...
2019 Symposium on VLSI Circuits, C80-C81, 2019
62019
A 2-Gb/s UWB transceiver for short-range reconfigurable FDD wireless networks
R Liu, AB KT, R Dorrance, TF Cox, R Jain, T Acikalin, Z Zhou, TY Yang, ...
IEEE Journal of Solid-State Circuits, 2023
22023
mm-Wave Radar Hand Shape Classification Using Deformable Transformers
AL Narayanan, AB KT, H Wu, J Ma, WM Huang
2022 19th European Radar Conference (EuRAD), 37-40, 2022
12022
A 2Gb/s 9.9 pJ/b Sub-10GHz Wireless Transceiver for Reconfigurable FDD Wireless Networks and Short-Range Multicast Applications
R Liu, BKT Asma, R Dorrance, T Cox, R Jain, T Acikalin, Z Zhou, TY Yang, ...
2022 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 263-266, 2022
12022
High SNR EMG Acquisition System for Biofeedback Applications
AE Vijayan, KTA Beevi, K Jerome, KC Ravindran, KJ Dhanaraj
2015 IEEE International Conference on Computational Intelligence …, 2015
12015
The system can't perform the operation now. Try again later.
Articles 1–10