Follow
james C M  Li
james C M Li
Verified email at cc.ee.ntu.edu.tw - Homepage
Title
Cited by
Cited by
Year
VLSI test principles and architectures: design for testability
LT Wang, CW Wu, X Wen
Elsevier, 2006
9712006
Electronic design automation: synthesis, verification, and test
LT Wang, YW Chang, KTT Cheng
Morgan Kaufmann, 2009
3492009
Testing for resistive opens and stuck opens
JCM Li, CW Tseng, EJ McCluskey
Proceedings International Test Conference 2001 (Cat. No. 01CH37260), 1049-1058, 2001
1952001
A secure test wrapper design against internal and boundary scan attacks for embedded cores
GM Chiu, JCM Li
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20 (1), 126-134, 2010
982010
Survey of scan chain diagnosis
Y Huang, R Guo, WT Cheng, JCM Li
IEEE Design & Test of Computers 25 (3), 240-248, 2008
962008
Diagnosis of sequence-dependent chips
JCM Li, EJ McCluskey
Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), 187-192, 2002
752002
ELF-Murphy data on defects and tests sets
EJ McCluskey, A Al-Yamani, JCM Li, CW Tseng, E Volkerink, FF Ferhani, ...
22nd IEEE VLSI Test Symposium, 2004. Proceedings., 16-22, 2004
742004
Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs
JCM Li, EJ McCluskey
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
652005
Jump scan: A DFT technique for low power testing
MH Chiu, JCM Li
23rd IEEE VLSI Test Symposium (VTS'05), 277-282, 2005
542005
Diagnosis of single stuck-at faults and multiple timing faults in scan chains
JCM Li
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (6), 708-718, 2005
532005
Jump simulation: A technique for fast and precise scan chain fault diagnosis
YL Kao, WS Chuang, JCM Li
2006 IEEE International Test Conference, 1-9, 2006
482006
Testing for tunneling opens
JCM Li, EJ McCluskey
Proceedings International Test Conference 2000 (IEEE Cat. No. 00CH37159), 85-94, 2000
432000
Diagnosis of multiple hold-time and setup-time faults in scan chains
JCM Li
IEEE Transactions on Computers 54 (11), 1467-1472, 2005
422005
Testing of TSV-induced small delay faults for 3-D integrated circuits
CY Kuo, CJ Shih, YC Lu, JCM Li, K Chakrabarty
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (3), 667-674, 2013
252013
ELF35 experiment-Chip and experiment design
JCM Li, JTY Chang, CW Tseng, EJ McCluskey
Center for Reliable Computing, Stanford Univ., CA, CRC Tech. Rep, 1999
201999
IDDQ data analysis using current signature
JCM Li, EJ McCluskey
Proceedings 1998 IEEE International Workshop on IDDQ Testing (Cat. No …, 1998
161998
Effect of histidine on myocardial mitochondria and platelet aggregation during thrombotic cerebral ischemia in rats.
SQ Li, G Zhao, J Li, W Qian
Zhongguo yao li xue bao= Acta Pharmacologica Sinica 19 (5), 493-496, 1998
161998
GPU-based N-detect transition fault ATPG
KY Liao, SC Hsu, JCM Li
Proceedings of the 50th Annual Design Automation Conference, 1-8, 2013
152013
Evidence for the Direct Two-Photon Transition from to
M Ablikim, MN Achasov, DJ Ambrose, FF An, Q An, ZH An, JZ Bai, ...
Physical review letters 109 (17), 172002, 2012
152012
3D IC test scheduling using simulated annealing
CY Hsu, CY Kuo, JCM Li, K Chakrabarty
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 1-4, 2012
142012
The system can't perform the operation now. Try again later.
Articles 1–20