Παρακολούθηση
Amir Ajami
Amir Ajami
Senior Software Architect @ Synopsys Inc.
Η διεύθυνση ηλεκτρονικού ταχυδρομείου έχει επαληθευτεί στον τομέα synopsys.com
Τίτλος
Παρατίθεται από
Παρατίθεται από
Έτος
Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects
AH Ajami, K Banerjee, M Pedram
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
2892005
Analysis and optimization of thermal issues in high-performance VLSI
K Banerjee, M Pedram, AH Ajami
Proceedings of the 2001 international symposium on Physical design, 230-237, 2001
1102001
Analysis of IR-drop scaling with implications for deep submicron P/G network designs
AH Ajami, K Banerjee, A Mehrotra, M Pedram
Fourth International Symposium on Quality Electronic Design, 2003 …, 2003
1032003
Analysis of non-uniform temperature-dependent interconnect performance in high performance ICs
AH Ajami, K Banerjee, M Pedram, LPPP van Ginneken
Proceedings of the 38th annual Design Automation Conference, 567-572, 2001
762001
Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs
AH Ajami, M Pedram, K Banerjee
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No …, 2001
662001
Analysis of substrate thermal gradient effects on optimal buffer insertion
AH Ajami, K Banerjee, M Pedram
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE …, 2001
472001
Scaling analysis of on-chip power grid voltage variations in nanometer scale ULSI
AH Ajami, K Banerjee, M Pedram
Analog Integrated Circuits and Signal Processing 42, 277-290, 2005
422005
LEOPARD: A logical effort-based fanout optimizer for area and delay
P Rezvani, AH Ajami, M Pedram, H Savoj
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of …, 1999
331999
Post-layout timing-driven cell placement using an accurate net length model with movable steiner points
AH Ajami, M Pedram
Proceedings of the 2001 Asia and South Pacific Design Automation Conference …, 2001
322001
Lithography aware leakage analysis
E Tuncer, H Zheng, V Raghavan, A Devgan, A Ajami, A Nardi, T Lin, ...
US Patent 8,572,523, 2013
212013
Non-uniform chip-temperature dependent signal integrity
AH Ajami, K Banerjee, M Pedram
2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No …, 2001
192001
Lithography aware timing analysis
E Tuncer, H Zheng, V Raghavan, A Devgan, A Ajami, A Nardi, T Lin, ...
US Patent 8,473,876, 2013
82013
Fast interconnect and gate timing analysis for performance optimization
S Abbaspour, M Pedram, A Ajami, C Kashyap
IEEE transactions on very large scale integration (VLSI) systems 14 (12 …, 2006
72006
Fanout Optimization using a gain-based Delay model
P Rezvani, AH Ajami, M Pedram, H Savoj
IWLS, Granlibakken, USA, 1999
61999
SACI: Statistical static timing analysis of coupled interconnects
H Fatemi, S Abbaspour, M Pedram, AH Ajami, E Tuncer
Proceedings of the 16th ACM Great Lakes symposium on VLSI, 241-246, 2006
52006
TFA: a threshold-based filtering algorithm for propagation delay and slew calculation of high-speed VLSI interconnects
S Abbaspour, AH Ajami, M Pedram, E Tuncer
Proceedings of the 14th ACM Great Lakes symposium on VLSI, 19-24, 2004
42004
First demonstration of a superconducting electronics microcontroller RTL-to-GDSII flow
L Amarú, A Ajami, S Chen, Y Zhang, TL Tung, T Arifin, T Liu, M Pan, ...
Government Microcircuit Appl. Crit. Technol. Conf.(GOMACTech), 1-4, 2021
32021
Modeling and propagation of noisy waveforms in static timing analysis
S Nazarian, M Pedram, ET Tuncer, T Lin, AH Ajami
Design, Automation and Test in Europe, 776-777, 2005
32005
An RTL-to-GDSII flow for single flux quantum circuits based on an industrial EDA toolchain
E Mlinar, S Whiteley, A Belov, S Chen, L Amaru, T Liu, Y Zhang, T Arifin, ...
IEEE Transactions on Applied Superconductivity 33 (5), 1-7, 2023
22023
Effects of non-uniform substrate temperature in high-performance integrated circuits: Modeling, analysis, and implications for signal integrity and interconnect performance …
AH Ajami
University of Southern California, 2003
12003
Δεν είναι δυνατή η εκτέλεση της ενέργειας από το σύστημα αυτή τη στιγμή. Προσπαθήστε ξανά αργότερα.
Άρθρα 1–20