Follow
Saman Kiamehr
Saman Kiamehr
SEG-Auomotive
Verified email at seg-automotive.com
Title
Cited by
Cited by
Year
Aging-aware logic synthesis
M Ebrahimi, F Oboril, S Kiamehr, MB Tahoori
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 61-68, 2013
702013
Analysis of transient voltage fluctuations in FPGAs
DRE Gnad, F Oboril, S Kiamehr, MB Tahoori
2016 international conference on field-programmable technology (FPT), 12-19, 2016
622016
NBTI mitigation by optimized NOP assignment and insertion
F Firouzi, S Kiamehr, MB Tahoori
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 218-223, 2012
532012
Aging effects in FPGAs: An experimental analysis
A Amouri, F Bruguier, S Kiamehr, P Benoit, L Torres, M Tahoori
2014 24th international conference on Field Programmable Logic and …, 2014
512014
Aging mitigation in memory arrays using self-controlled bit-flipping technique
A Gebregiorgis, M Ebrahimi, S Kiamehr, F Oboril, S Hamdioui, ...
The 20th Asia and South Pacific Design Automation Conference, 231-236, 2015
502015
Investigation of NBTI and PBTI induced aging in different LUT implementations
S Kiamehr, A Amouri, MB Tahoori
2011 International Conference on Field-Programmable Technology, 1-8, 2011
502011
An experimental evaluation and analysis of transient voltage fluctuations in FPGAs
DRE Gnad, F Oboril, S Kiamehr, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (10 …, 2018
492018
Aging-aware timing analysis considering combined effects of NBTI and PBTI
S Kiamehr, F Firouzi, MB Tahoori
International Symposium on Quality Electronic Design (ISQED), 53-59, 2013
422013
Fine-grained aging-induced delay prediction based on the monitoring of run-time stress
A Vijayan, A Koneru, S Kiamehr, K Chakrabarty, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
392016
Power-aware minimum NBTI vector selection using a linear programming approach
F Firouzi, S Kiamehr, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
382012
Temperature-aware dynamic voltage scaling to improve energy efficiency of near-threshold computing
S Kiamehr, M Ebrahimi, MS Golanbari, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (7), 2017
372017
Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods
N Khoshavi, RA Ashraf, RF DeMara, S Kiamehr, F Oboril, MB Tahoori
Integration 59, 10-22, 2017
352017
Statistical analysis of BTI in the presence of process-induced voltage and temperature variations
F Firouzi, S Kiamehr, MB Tahoori
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), 594-600, 2013
342013
VLSI Systems Long-Term Reliability–Modeling, Simulation and Optimization
SXD Tan, M Tahoori, T Kim, S Wang, Z Sun, S Kiamehr
Cham, Switzerland: Springer, 2019
332019
Radiation-induced soft error analysis of SRAMs in SOI FinFET technology: A device to circuit approach
S Kiamehr, T Osiecki, M Tahoori, S Nassif
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
302014
Altering LUT configuration for wear-out mitigation of FPGA-mapped designs
PMB Rao, A Amouri, S Kiamehr, MB Tahoori
2013 23rd International Conference on Field programmable Logic and …, 2013
302013
Incorporating the impacts of workload-dependent runtime variations into timing analysis
F Firouzi, S Kiamehr, M Tahoori, S Nassif
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
292013
A linear programming approach for minimum NBTI vector selection
F Firouzi, S Kiamehr, MB Tahoori
Proceedings of the 21st edition of the great lakes symposium on Great lakes …, 2011
292011
Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions
F Oboril, F Firouzi, S Kiamehr, M Tahoori
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware …, 2012
282012
Aging-aware standard cell library design
S Kiamehr, F Firouzi, M Ebrahimi, MB Tahoori
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
272014
The system can't perform the operation now. Try again later.
Articles 1–20