Follow
Sungchan Kim
Sungchan Kim
Professor, Div. CSE, Jeonbuk National University
Verified email at jbnu.ac.kr - Homepage
Title
Cited by
Cited by
Year
Active disk meets flash: A case for intelligent ssds
S Cho, C Park, H Oh, S Kim, Y Yi, GR Ganger
Proceedings of the 27th international ACM conference on International …, 2013
1622013
PeaCE: A hardware-software codesign environment for multimedia embedded systems
S Ha, S Kim, C Lee, Y Yi, S Kwon, YP Joo
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3 …, 2008
1622008
A task remapping technique for reliable multi-core embedded systems
C Lee, H Kim, H Park, S Kim, H Oh, S Ha
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware …, 2010
1032010
Fast, energy efficient scan inside flash memory SSDs
S Kim, H Oh, C Park, S Cho, SW Lee
Proceeedings of the International Workshop on Accelerating Data Management …, 2011
822011
In-storage processing of database scans and joins
S Kim, H Oh, C Park, S Cho, SW Lee, B Moon
Information Sciences 327, 183-200, 2016
672016
Executing synchronous dataflow graphs on a SPM-based multicore architecture
J Choi, H Oh, S Kim, S Ha
Proceedings of the 49th Annual Design Automation Conference, 664-671, 2012
672012
Schedule-aware performance estimation of communication architecture for efficient design space exploration
S Kim, C Im, S Ha
Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware …, 2003
652003
A systematic design space exploration of MPSoC based on synchronous data flow specification
C Lee, S Kim, S Ha
Journal of Signal Processing Systems 58, 193-213, 2010
642010
Static mapping of mixed-critical applications for fault-tolerant MPSoCs
S Kang, H Yang, S Kim, I Bacivarov, S Ha, L Thiele
Proceedings of the 51st annual design automation conference, 1-6, 2014
452014
Efficient exploration of on-chip bus architectures and memory allocation
SH Sungchan Kim, Chaeseok Im
International Conference on Hardware/Software Codesign and System Synthesis …, 2004
45*2004
Dynamic behavior specification and dynamic mapping for real-time embedded systems: Hopes approach
H Jung, C Lee, SH Kang, S Kim, H Oh, S Ha
ACM Transactions on Embedded Computing Systems (TECS) 13 (4s), 1-26, 2014
392014
Efficient exploration of on-chip bus architectures and memory allocation
S Kim, C Im, S Ha
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware …, 2004
392004
Efficient exploration of bus-based system-on-chip architectures
S Kim, S Ha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 14 (7), 681-692, 2006
302006
Reliability-aware mapping optimization of multi-core systems with mixed-criticality
SH Kang, H Yang, S Kim, I Bacivarov, S Ha, L Thiele
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-4, 2014
282014
A parallel simulation technique for multicore embedded systems and its performance analysis
D Yun, S Kim, S Ha
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
222011
Efficient run-time resource management of a manycore accelerator for stream-based applications
C Lee, S Kim, S Ha
The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, 51-60, 2013
182013
Architecture exploration of NAND flash-based multimedia card
S Kim, C Park, S Ha
Proceedings of the conference on Design, Automation and Test in Europe, 218-223, 2008
182008
An MILP-based performance analysis technique for non-preemptive multitasking MPSoC
H Yang, S Kim, S Ha
IEEE transactions on computer-aided design of integrated circuits and …, 2010
152010
Virtual synchronization for fast distributed cosimulation of dataflow task graphs
D Kim, CE Rhee, Y Yi, S Kim, H Jung, S Ha
Proceedings of the 15th international symposium on System Synthesis, 174-179, 2002
152002
Failure-aware task scheduling of synchronous data flow graphs under real-time constraints
C Lee, S Kim, H Oh, S Ha
Journal of Signal Processing Systems 73, 201-212, 2013
112013
The system can't perform the operation now. Try again later.
Articles 1–20