Follow
Ali Afzali-Kusha
Title
Cited by
Cited by
Year
Dual-quality 4: 2 compressors for utilizing in dynamic accuracy configurable multipliers
O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2017
2362017
RoBA multiplier: A rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing
R Zendegani, M Kamal, M Bahadori, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (2), 393-401, 2016
1902016
RAP-CLA: A reconfigurable approximate carry look-ahead adder
O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems II: Express Briefs 65 (8), 1089-1093, 2016
1652016
Substrate noise coupling in SoC design: Modeling, avoidance, and validation
A Afzali-Kusha, M Nagata, NK Verghese, DJ Allstot
Proceedings of the IEEE 94 (12), 2109-2138, 2006
1452006
Ground plane fin-shaped field effect transistor (GP-FinFET): A FinFET for low leakage power circuits
M Saremi, A Afzali-Kusha, S Mohammadi
Microelectronic Engineering 95, 74-82, 2012
1262012
TOSAM: An energy-efficient truncation-and rounding-based scalable approximate multiplier
S Vahdat, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (5 …, 2019
1242019
EDXY–A low cost congestion-aware routing algorithm for network-on-chips
P Lotfi-Kamran, AM Rahmani, M Daneshtalab, A Afzali-Kusha, Z Navabi
Journal of Systems Architecture 56 (7), 256-264, 2010
1222010
Design and analysis of two low-power SRAM cell structures
G Razavipour, A Afzali-Kusha, M Pedram
IEEE transactions on very large scale integration (VLSI) systems 17 (10 …, 2009
1192009
Evaluation of pseudo adaptive XY routing using an object oriented model for NOC
M Dehyadgari, M Nickray, A Afzali-Kusha, Z Navabi
2005 International Conference on Microelectronics, 5 pp., 2005
1142005
Low-power single-and double-edge-triggered flip-flops for high-speed applications
SH Rasouli, A Khademzadeh, A Afzali-Kusha, M Nourani
IEE Proceedings-Circuits, Devices and Systems 152 (2), 118-122, 2005
1102005
Data encoding techniques for reducing energy consumption in network-on-chip
N Jafarzadeh, M Palesi, A Khademzadeh, A Afzali-Kusha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (3), 675-685, 2013
882013
BZ-FAD: A low-power low-area multiplier based on shift-and-add architecture
M Mottaghi-Dastjerdi, A Afzali-Kusha, M Pedram
IEEE Transactions on very large scale integration (VLSI) systems 17 (2), 302-306, 2009
832009
Approximate reverse carry propagate adder for energy-efficient DSP applications
M Pashaeifar, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (11 …, 2018
802018
A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies
M Ansari, H Afzali-Kusha, B Ebrahimi, Z Navabi, A Afzali-Kusha, ...
Integration 50, 91-106, 2015
752015
Block-based carry speculative approximate adder for energy-efficient applications
F Ebrahimi-Azandaryani, O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems II: Express Briefs 67 (1), 137-141, 2019
702019
A novel synthetic traffic pattern for power/performance analysis of network-on-chips using negative exponential distribution
AM Rahmani, A Afzali-Kusha, M Pedram
Journal of Low Power Electronics 5 (3), 396-405, 2009
702009
High-speed and energy-efficient carry skip adder operating under a wide range of supply voltage levels
M Bahadori, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on very large scale integration (VLSI) systems 24 (2), 421-433, 2015
652015
SEERAD: A high speed yet energy-efficient rounding-based approximate divider
R Zendegani, M Kamal, A Fayyazi, A Afzali-Kusha, S Safari, M Pedram
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2016
642016
Res-DNN: A residue number system-based DNN accelerator unit
N Samimi, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems I: regular papers 67 (2), 658-671, 2019
632019
Low-distance path-based multicast routing algorithm for network-on-chips
M Daneshtalab, M Ebrahimi, S Mohammadi, A Afzali-Kusha
IET computers & digital techniques 3 (5), 430-442, 2009
632009
The system can't perform the operation now. Try again later.
Articles 1–20