Follow
Jason Clemons
Jason Clemons
NVIDIA - Senior Research Scientist
Verified email at nvidia.com
Title
Cited by
Cited by
Year
vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design
M Rhu, N Gimelshein, J Clemons, A Zulfiqar, SW Keckler
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
4522016
Simba: Scaling deep-learning inference with multi-chip-module-based architecture
YS Shao, J Clemons, R Venkatesan, B Zimmer, M Fojtik, N Jiang, B Keller, ...
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
3482019
Reinforcement learning through asynchronous advantage actor-critic on a gpu
M Babaeizadeh, I Frosio, S Tyree, J Clemons, J Kautz
arXiv preprint arXiv:1611.06256, 2016
3062016
Magnet: A modular accelerator generator for neural networks
R Venkatesan, YS Shao, M Wang, J Clemons, S Dai, M Fojtik, B Keller, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
1122019
MEVBench: A mobile computer vision benchmarking suite
J Clemons, H Zhu, S Savarese, T Austin
2011 IEEE international symposium on workload characterization (IISWC), 91-102, 2011
1022011
A 0.32–128 TOPS, scalable multi-chip-module-based deep neural network inference accelerator with ground-referenced signaling in 16 nm
B Zimmer, R Venkatesan, YS Shao, J Clemons, M Fojtik, N Jiang, B Keller, ...
IEEE Journal of Solid-State Circuits 55 (4), 920-932, 2020
862020
GA3C: GPU-based A3C for deep reinforcement learning
M Babaeizadeh, I Frosio, S Tyree, J Clemons, J Kautz
CoRR abs/1611.06256, 2016
842016
A modular digital VLSI flow for high-productivity SoC design
B Khailany, E Khmer, R Venkatesan, J Clemons, JS Emer, M Fojtik, ...
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
732018
Buffets: An efficient and composable storage idiom for explicit decoupled data orchestration
M Pellauer, YS Shao, J Clemons, N Crago, K Hegde, R Venkatesan, ...
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
572019
A 0.11 pj/op, 0.32-128 tops, scalable multi-chip-module-based deep neural network accelerator with ground-reference signaling in 16nm
B Zimmer, R Venkatesan, YS Shao, J Clemons, M Fojtik, N Jiang, B Keller, ...
2019 Symposium on VLSI Circuits, C300-C301, 2019
512019
EFFEX: an embedded processor for computer vision based feature extraction
J Clemons, A Jones, R Perricone, S Savarese, T Austin
Proceedings of the 48th Design Automation Conference, 1020-1025, 2011
502011
Virtualizing deep neural networks for memory-efficient neural network design
M Rhu, N Gimelshein, J Clemons, A Zulfiqar, SW Keckler
arXiv preprint arXiv:1602.08124 43, 2016
312016
Method of detecting an off-balance condition of a clothes load in a washing machine
AC Stansel, MFS Neto, JL Clemons, BJ Cook, AJ Darby
US Patent 8,042,211, 2011
232011
A patch memory system for image processing and computer vision
J Clemons, CC Cheng, I Frosio, D Johnson, SW Keckler
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
222016
Structurally sparsified backward propagation for faster long short-term memory training
M Zhu, J Clemons, J Pool, M Rhu, SW Keckler, Y Xie
arXiv preprint arXiv:1806.00512, 2018
142018
EVA: An efficient vision architecture for mobile systems
J Clemons, A Pellegrini, S Savarese, T Austin
2013 International Conference on Compilers, Architecture and Synthesis for …, 2013
142013
A 0.11 pj/op, 0.32-128 tops, scalable multi-chip-module-based deep neural network accelerator designed with A high-productivity vlsi methodology
R Venkatesan, YS Shao, B Zimmer, J Clemons, M Fojtik, N Jiang, B Keller, ...
2019 IEEE Hot Chips 31 Symposium (HCS), 1-24, 2019
122019
A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications
I Hong, J Clemons, R Venkatesan, I Frosio, B Khailany, SW Keckler
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
122016
Training long short-term memory with sparsified stochastic gradient descent
M Zhu, M Rhu, J Clemons, SW Keckler, Y Xie
102016
Scene Understanding for the Visually Impaired Using Visual Sonification by Visual Feature Analysis and Auditory Signatures
J Clemons, Y Bao, M Bagra, T Austin, S Savarese
Journal of Vision 12 (9), 804-804, 2012
32012
The system can't perform the operation now. Try again later.
Articles 1–20