Follow
Mohammad Hasan
Mohammad Hasan
Other namesMohd Hasan
Department of Electronics Engineering, Aligarh Muslim University
Verified email at amu.ac.in - Homepage
Title
Cited by
Cited by
Year
Leakage characterization of 10T SRAM cell
A Islam, M Hasan
IEEE transactions on electron devices 59 (3), 631-638, 2012
1882012
Single-Ended Schmitt-Trigger-Based Robust Low-Power SRAM Cell
S Ahmad, MK Gupta, N Alam, M Hasan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (8 …, 2016
1642016
A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM Cell
A Islam, M Hasan
Microelectronics Reliability 52 (2), 405-411, 2012
1162012
Carbon nanotube field effect transistors for high performance analog applications: An optimum design approach
FA Usmani, M Hasan
Microelectronics Journal 41 (7), 395-402, 2010
892010
Robust TFET SRAM cell for ultra-low power IoT applications
S Ahmad, N Alam, M Hasan
AEU-International Journal of Electronics and Communications 89, 70-76, 2018
772018
Robust TFET SRAM cell for ultra-low power IoT application
S Ahmad, N Alam, M Hasan
2017 International Conference on Electron Devices and Solid-State Circuits …, 2017
772017
A novel coefficient ordering based low power pipelined radix-4 FFT processor for wireless LAN applications
M Hasan, T Arslan, JS Thompson
Consumer Electronics, IEEE Transactions on 49 (1), 128-134, 2003
762003
Optimized design of a 32-nm CNFET-based low-power ultrawideband CCII
A Imran, M Hasan, A Islam, SA Abbasi
IEEE Transactions on Nanotechnology 11 (6), 1100-1109, 2012
702012
Low Leakage Single Bitline 9T (SB9T) Static Random Access Memory
MH Sayeed Ahmad, Mohit Kumar Gupta, Naushad Alam
Microelectronics Journal 62, 1-11, 2017
682017
Low Leakage Fully Half-Select-Free Robust SRAM Cells With BTI Reliability Analysis
S Ahmad, B Iqbal, N Alam, M Hasan
IEEE Transactions on Device and Materials Reliability 18 (3), 337-349, 2018
672018
Variability aware low leakage reliable SRAM cell design technique
A Islam, M Hasan
Microelectronics Reliability 52 (6), 1247-1252, 2012
622012
Pseudo differential multi-cell upset immune robust SRAM cell for ultra-low power applications
S Ahmad, N Alam, M Hasan
AEU-International Journal of Electronics and Communications 83, 366-375, 2018
572018
Performance comparison of CNFET-and CMOS-based 6T SRAM cell in deep submicron
AK Kureshi, M Hasan
Microelectronics journal 40 (6), 979-982, 2009
542009
High-performance low-power FFT cores
W Han, AT Erdogan, T Arslan, M Hasan
ETRI journal 30 (3), 451-460, 2008
452008
Variation resilient subthreshold SRAM cell design technique
A Islam, M Hasan, T Arslan
International Journal of Electronics 99 (9), 1223-1237, 2012
412012
TFET-Based Robust 7T SRAM Cell for Low Power Application
S Ahmad, SA Ahmad, M Muqeem, N Alam, M Hasan
IEEE Transactions on Electron Devices 66 (9), 3834-3840, 2019
402019
Algorithmic low power FIR cores
AT Erdogan, M Hasan, T Arslan
IEE Proceedings-Circuits, Devices and Systems 150 (3), 155-160, 2003
402003
Scheme for reducing size of coefficient memory in FFT processor
M Hasan, T Arslan
Electronics Letters 38 (4), 163-164, 2002
402002
A delay spread based low power reconfigurable FFT processor architecture for wireless receiver
M Hasan, T Arslan, JS Thompson
Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No …, 2003
372003
Robust High Speed Ternary Magnetic Content Addressable Memory
MK Gupta, M Hasan
IEEE Transactions on Electron Devices 62 (4), 1163-1169, 2015
312015
The system can't perform the operation now. Try again later.
Articles 1–20