Παρακολούθηση
Sarma Vrudhula
Sarma Vrudhula
Professor of Computer Science and Engineering, Arizona State University
Η διεύθυνση ηλεκτρονικού ταχυδρομείου έχει επαληθευτεί στον τομέα asu.edu - Αρχική σελίδα
Τίτλος
Παρατίθεται από
Παρατίθεται από
Έτος
Throughput-optimized OpenCL-based FPGA accelerator for large-scale convolutional neural networks
N Suda, V Chandra, G Dasika, A Mohanty, Y Ma, S Vrudhula, J Seo, ...
Proceedings of the 2016 ACM/SIGDA international symposium on field …, 2016
6762016
Battery modeling for energy aware system design
R Rao, S Vrudhula, DN Rakhmatov
Computer 36 (12), 77-87, 2003
6342003
Predictive modeling of the NBTI effect for reliable design
S Bhardwaj, W Wang, R Vattikonda, Y Cao, S Vrudhula
IEEE Custom Integrated Circuits Conference 2006, 189-192, 2006
5232006
Optimizing loop operation and dataflow in FPGA acceleration of deep convolutional neural networks
Y Ma, Y Cao, S Vrudhula, J Seo
Proceedings of the 2017 ACM/SIGDA International Symposium on Field …, 2017
4382017
An analytical high-level battery model for use in energy management of portable electronic systems
DN Rakhmatov, SBK Vrudhula
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE …, 2001
4122001
The impact of NBTI effect on combinational circuit: Modeling, simulation, and analysis
W Wang, S Yang, S Bhardwaj, S Vrudhula, F Liu, Y Cao
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 18 (2), 173-183, 2009
3622009
Energy management for battery-powered embedded systems
D Rakhmatov, S Vrudhula
ACM Transactions on Embedded Computing Systems (TECS) 2 (3), 277-324, 2003
3532003
Optimizing the convolution operation to accelerate deep neural networks on FPGA
Y Ma, Y Cao, S Vrudhula, J Seo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (7 …, 2018
3302018
A model for battery lifetime analysis for organizing applications on a pocket computer
D Rakhmatov, S Vrudhula, DA Wallach
IEEE transactions on very large scale integration (VLSI) systems 11 (6 …, 2003
3272003
The impact of NBTI on the performance of combinational and sequential circuits
W Wang, S Yang, S Bhardwaj, R Vattikonda, S Vrudhula, F Liu, Y Cao
Proceedings of the 44th annual Design Automation Conference, 364-369, 2007
2952007
Mitigating effects of non-ideal synaptic device characteristics for on-chip learning
PY Chen, B Lin, IT Wang, TH Hou, J Ye, S Vrudhula, J Seo, Y Cao, S Yu
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 194-199, 2015
2442015
BDD based decomposition of logic functions with application to FPGA synthesis
YT Lai, M Pedram, SBK Vrudhula
Proceedings of the 30th international Design Automation Conference, 642-647, 1993
2171993
Statistical timing analysis using bounds and selective enumeration
A Agarwal, D Blaauw, V Zolotov, S Vrudhula
Proceedings of the 8th ACM/IEEE international workshop on Timing issues in …, 2002
2112002
Scalable and modularized RTL compilation of convolutional neural networks onto FPGA
Y Ma, N Suda, Y Cao, J Seo, S Vrudhula
2016 26th international conference on field programmable logic and …, 2016
2042016
EVBDD-based algorithms for integer linear programming, spectral transformation, and function decomposition
YT Lai, M Pedram, SBK Vrudhula
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1994
1791994
EPIMap: Using epimorphism to map applications on CGRAs
M Hamzeh, A Shrivastava, S Vrudhula
Proceedings of the 49th Annual Design Automation Conference, 1284-1291, 2012
1742012
An automatic RTL compiler for high-throughput FPGA implementation of diverse deep convolutional neural networks
Y Ma, Y Cao, S Vrudhula, J Seo
2017 27th International Conference on Field Programmable Logic and …, 2017
1682017
Energy-efficient operation of multicore processors by DVFS, task migration, and active cooling
V Hanumaiah, S Vrudhula
IEEE Transactions on Computers 63 (2), 349-360, 2012
1522012
Power balanced coverage-time optimization for clustered wireless sensor networks
T Shu, M Krunz, S Vrudhula
Proceedings of the 6th ACM international symposium on Mobile ad hoc …, 2005
1492005
Static power optimization of deep submicron CMOS circuits for dual VT technology
Q Wang, SBK Vrudhula
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided …, 1998
1441998
Δεν είναι δυνατή η εκτέλεση της ενέργειας από το σύστημα αυτή τη στιγμή. Προσπαθήστε ξανά αργότερα.
Άρθρα 1–20