Παρακολούθηση
Sachhidh Kannan
Sachhidh Kannan
Security Architect @ Ampere Computing
Η διεύθυνση ηλεκτρονικού ταχυδρομείου έχει επαληθευτεί στον τομέα amperecomputing.com
Τίτλος
Παρατίθεται από
Παρατίθεται από
Έτος
Sneak-path testing of crossbar-based nonvolatile random access memories
S Kannan, J Rajendran, R Karri, O Sinanoglu
IEEE Transactions on Nanotechnology 12 (3), 413-426, 2013
1352013
Prediction of daily rainfall state in a river basin using statistical downscaling from GCM output
S Kannan, S Ghosh
Stochastic Environmental Research and Risk Assessment 25, 457-474, 2011
1242011
A nonparametric kernel regression model for downscaling multisite daily precipitation in the Mahanadi basin
S Kannan, S Ghosh
Water Resources Research 49 (3), 1360-1385, 2013
1092013
Modeling, detection, and diagnosis of faults in multilevel memristor memories
S Kannan, N Karimi, R Karri, O Sinanoglu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
902015
Towards industry 4.0: Gap analysis between current automotive MES and industry standards using model-based requirement engineering
SM Kannan, K Suri, J Cadavid, I Barosan, M Van Den Brand, M Alferez, ...
2017 IEEE International Conference on Software Architecture Workshops (ICSAW …, 2017
862017
Sneak-path testing of memristor-based memories
S Kannan, J Rajendran, R Karri, O Sinanoglu
2013 26th International Conference on VLSI Design and 2013 12th …, 2013
592013
Statistical downscaling and bias-correction for projections of Indian rainfall and temperature in climate change studies
K Salvi, S Kannan, S Ghosh
4th International Conference on Environmental and Computer Science 19, 16-18, 2011
512011
Security vulnerabilities of emerging nonvolatile main memories and countermeasures
S Kannan, N Karimi, O Sinanoglu, R Karri
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
412014
Detection, diagnosis, and repair of faults in memristor-based memories
S Kannan, N Karimi, R Karri, O Sinanoglu
2014 IEEE 32nd VLSI Test Symposium (VTS), 1-6, 2014
392014
Data mining: analysis of student database using classification techniques
K Sumathi, S Kannan, K Nagarajan
International Journal of Computer Applications 141 (8), 22-27, 2016
292016
Sneak path testing and fault modeling for multilevel memristor-based memories
S Kannan, R Karri, O Sinanoglu
2013 IEEE 31st International Conference on Computer Design (ICCD), 215-220, 2013
272013
Uncertainty resulting from multiple data usage in statistical downscaling
S Kannan, S Ghosh, V Mishra, K Salvi
Geophysical Research Letters 41 (11), 4013-4019, 2014
262014
3D NOC for many-core processors
A Zia, S Kannan, HJ Chao, GS Rose
Microelectronics Journal 42 (12), 1380-1390, 2011
202011
Secure memristor-based main memory
S Kannan, N Karimi, O Sinanoglu
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
192014
Highly-scalable 3D CLOS NOC for many-core CMPs
A Zia, S Kannan, G Rose, HJ Chao
Proceedings of the 8th IEEE International NEWCAS Conference 2010, 229-232, 2010
192010
Statistical downscaling of multisite daily precipitation for Tapi basin using kernel regression model
S Singh, S Kannan, PV Timbadiya
Current Science, 1468-1484, 2016
82016
Study of growth and Haematology of the fish Oreochromis mossambiccus grown in the Kullursandhai Reservoir water of Virudhunagar District, India under the cadmium chloride stress
K Nagarajan, S Kannan, G Gunasekaran
International Research Journal of Environment Science 3 (3), 49-54, 2014
62014
Role of interestingness measures in car rule ordering for associative classifier: An empirical approach
S Kannan, R Bhaskaran
arXiv preprint arXiv:1001.3478, 2010
62010
Fault injection attacks in spiking neural networks and countermeasures
K Nagarajan, J Li, SS Ensan, S Kannan, S Ghosh
Frontiers in Nanotechnology 3, 801999, 2022
52022
Analysis of power-oriented fault injection attacks on spiking neural networks
K Nagarajan, J Li, SS Ensan, MNI Khan, S Kannan, S Ghosh
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 861-866, 2022
42022
Δεν είναι δυνατή η εκτέλεση της ενέργειας από το σύστημα αυτή τη στιγμή. Προσπαθήστε ξανά αργότερα.
Άρθρα 1–20